Skip to content

China makes progress in chip tool self-sufficiency, but lithography remains a 'bottleneck'

    Industry insiders and analysts say U.S. export restrictions on China’s access to advanced chips and technologies have spurred local efforts to replace foreign chip manufacturing equipment. But bottlenecks remain.

    Major Chinese tool makers such as Naura Technology and Advanced Micro-Fabrication Equipment (AMEC) are encouraging local chip foundries to “use first and refine later” when it comes to domestic equipment.

    According to several industry insiders, there is even an unwritten rule in Chinese semiconductor wafer factories: at least 70 percent of the production lines must consist of locally manufactured tools.

    Do you have questions about the biggest topics and trends from around the world? Get the answers with SCMP Knowledge, our new platform of curated content with explainers, FAQs, analyses and infographics, brought to you by our award-winning team.

    After Washington imposed restrictions on the export of advanced chip technologies, China's largest chipmakers began to shift their focus from pursuing the latest technologies to ramping up production capacity for older chips used in cars and household appliances. Significant progress is being made.

    Workers inspect a semiconductor wafer at TankeBlue Semiconductor in Beijing, January 24, 2024. Photo: Xinhua alt=Workers inspect a semiconductor wafer at TankeBlue Semiconductor in Beijing, January 24, 2024. Photo: Xinhua>

    “China’s semiconductor tool industry has made great strides since the October 2022 U.S. export controls package,” said Paul Triolo, senior vice president for China and technology policy leader at Albright Stonebridge Group, a consulting firm in Washington. “This is the result of greater vertical integration among toolmakers, greater integration with front-end manufacturers, and much greater collaboration across the industry’s supply chain.”

    Some industry veterans have become increasingly confident about self-reliance. Gerald Yin Zhiyao, chairman and CEO of Shanghai-listed AMEC, said China could be on the verge of achieving a baseline level of self-reliance in chip-making tools this summer, something that seemed unlikely a few years ago.

    Yin said during a panel discussion last month that China's semiconductor supply chain can become self-sufficient despite gaps in “quality” and “reliability,” providing fresh evidence that U.S. restrictions may have accelerated the development of China's chip industry.

    There is one area that remains a sticking point for China, however: lithography, the crucial technology that prints ultra-fine circuit patterns on wafers. These systems, however, are subject to the strictest export restrictions. Dutch company ASML is the sole supplier of extreme ultraviolet (EUV) lithography systems, required for advanced chips, and is also a major supplier of the less advanced deep ultraviolet (DUV) systems.

    According to data shared by Li Hong, president of foundry China Resources Microelectronics, at an industry forum a year ago, only 1.2 percent of lithography systems used in Chinese foundries would be sourced locally in 2023.

    In the second quarter, ASML delivered a total of 2.35 billion euros (2.5 billion dollars) to customers in mainland China. This is almost half of ASML's global system revenue. This shows that China is still dependent on ASML tools in older nodes that are not subject to US sanctions.

    “Chinese companies have purchased large quantities of DUV lithography equipment from ASML, demonstrating that China’s lithography market leader SMEE continues to trail ASML in the reliable production of lithography equipment that can be widely used at 28 nanometers and below,” Triolo said.

    However, China is betting on lithography and according to some experts a breakthrough is still possible.

    This photo, taken on April 29, 2024, shows a worker at a semiconductor factory in Huai'an, eastern China's Jiangsu province. Photo: AFP alt=This photo, taken on April 29, 2024, shows a worker at a semiconductor factory in Huai'an, eastern China's Jiangsu province. Photo: AFP>

    “I think that different parties in China are very capable of developing many of the individual modules and subsystems for DUV and EUV,” said Rene Raaijmakers, a Dutch technology writer and author of ASML's Architects. China's development time could even be faster than ASML's on EUV “because they can use more advanced technology that is available on the market,” Raaijmakers said.

    Lithography isn’t the only bottleneck for China, however. The local supply ratios for ion implantation and inspection and metrology systems were 1.4 percent and 2.4 percent, respectively, according to Li of China Resources Microelectronics. Imports of ion implantation systems from the country grew 20 percent year-on-year to US$1.3 billion in 2023, according to data from China Customs.

    Chinese wafer fabs are relying on U.S. companies KLA and Applied Materials and Japan’s Hitachi for metrology systems, according to a research report from brokerage Sealand Securities. KLA has about 50 percent of the global market share in the inspection and metrology tool sector.

    “The inspection and metrology sector has a low percentage of local supply, and domestic substitution is mainly at the low end,” said a semiconductor investor from Meituan's venture capital arm, who asked not to be named.

    This article originally appeared in the South China Morning Post (SCMP), the most authoritative voice reporting on China and Asia for more than a century. For more SCMP stories, explore the SCMP app or visit the SCMP Facebook page and Twitter pages. Copyright © 2024 South China Morning Post Publishers Ltd. All rights reserved.

    Copyright (c) 2024. South China Morning Post Publishers Ltd. All rights reserved.